Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

книги / Реализация цифровых автоматов в системе Quartus фирмы Altera

..pdf
Скачиваний:
11
Добавлен:
19.11.2023
Размер:
27.48 Mб
Скачать

Рис. 50. Выделенный вход и кнопка «Count Value» в окне редактора временных диаграмм

Щелкнуть по кнопке «Count Value» («Расчетное значение») на вертикальной панели инструментов.

Примечание. Краткие названия кнопок появляются в подсказках при наведении на кнопку курсора мыши. Одновременно более полные описания появляются в строке статуса.

На экране появится окно «Count Value» (рис. 51).

В этом окне активизировать закладку «Timing» («Хронометраж»). Включить кнопку «At absolute times:» («По абсолютным отсчетам:»). В поле ввода «Count every:» («Рассчитывать каждые:») ввести число 100, а в находящемся справа от него списке единиц выбрать «ns». В поле «Multiplied by:» («Умножать на:») ввести 1.

Получим диаграмму для входа «с» (рис. 52).

41

Рис. 51. Окно расчетных значений для формирования временной диаграммы

Рис. 52. Диаграмма для входа с

Аналогично, только указывая множитель «2», задаем диаграмму для входа b (рис. 53).

42

Рис. 53. Задание множителя для входа b

Аналогично, только указывая множитель «4», задаем диаграмму для старшего входа а.

Выбрать в строке меню «View\Fit in Window» («Вид\По размерам окна»). При этом установленный временной диапазон целиком расположится в пределах рабочего поля временных диаграмм. Получим диаграммы (рис. 54).

Рис. 54. Временные диаграммы входов

43

Сохранить созданный файл с именем Lab1.

Приступаем собственно к временному моделированию.

Выбрать в строке меню «Processing\Simulator Tool». В появившемся окне «Simulator Tool» (рис. 55) в списке «Simulation mode:» («Режим моделирования:») выбрать строку «Timing» («Временной»).

Рис. 55. Окно установки параметров симулятора

При установке режима «Functional» («Функциональный») в результате моделирования будут получены идеальные временные диаграммы сигналов выходных узлов без учета реальных аппаратных задержек, что может быть полезно на предварительных этапах проектирования.

В режиме «Временной» будут получены диаграммы с задержками сигналов, определяемыми числом элементов на пути распространения сигнала, и выбранным типом микросхемы.

Кроме того, в режиме «Временной» симулятором обнаруживаются так называемые «Glitches» («Выбросы», «Иголки») – не обусловленные логикой проекта двукратные и более перепады логического уровня за короткие промежутки времени. Эти

44

паразитные импульсы могут отрицательно повлиять на работоспособность устройства.

Щелкнуть по кнопке с тремя точками справа от поля «Simulation input:» («Входные данные для моделирования»). Убедиться в том, что в поле занесено имя подготовленного файла временных диаграмм *.vwf.

На панели «Simulation period» («Период моделирования»)

включить кнопку «Run simulation until all vector stimuli are used» («Моделировать, пока все входные сигналы не будут использованы»).

На панели «Simulation options» («Параметры моделирования») установить «галочку» в строке «Automatically add pins to simulation outputs waveforms» («Автоматически включать вы-

ходные узлы в результирующие временные диаграммы»). Установка указанного выше свойства обеспечит автомати-

ческое добавление во временные диаграммы, получаемые в результате моделирования, всех существующих выходных узлов с их диаграммами. Это свойство следует выключать, если вы хотите наблюдать диаграммы только части выходных узлов. В этом случая их необходимо ввести вручную.

Остальные свойства на панели «Simulation options» обеспечивают следующее:

«Check outputs» («Контроль выходных диаграмм») – побуждает симулятор сравнивать сформированные проектировщиком в файле *.vwf ожидаемые диаграммы выходных сигналов с реально получаемыми в результате моделирования диаграммами этих сигналов;

«Setup and hold time violation detection» («Обнаружение нарушений времени предустановки и времени удержания») – контролирует процесс моделирования на наличие нарушений параметров «время предустановки» и «время удержания» и сообщает о них в окне сообщений;

«Glitch detection» («Обнаружение выбросов») – контролирует процесс временного моделирования на наличие выбросов

исообщает о них в окне сообщений;

45

– «Overwrite simulation input file with simulation results» («Обновление входного файла моделирования *.vwf с добавлением результатов моделирования») – включает режим обновления файла временных диаграмм.

Закрыть окно «Simulator Tool».

Выбрать в строке меню «Processing\Start Simulation» («Про-

цессы\Запуск моделирования») (рис. 56).

Рис. 56. Запуск временного моделирования

По умолчанию на экране появится окно «Simulation Report» («Отчет о моделировании»), а в окне состояния процесса начнет отражаться объем выполнения процесса моделирования. После завершения моделирования на экране появится информационное окно с сообщением о завершении процесса. Его следует закрыть, щелкнув по кнопке «ОК».

(Процесс моделирования можно было бы запустить и непосредственно из окна «Simulator Tool», щелкнув по кнопке «Start». Однако в этом случае оказывается несколько более длинным процесс вывода результатов моделирования на экран.)

Если моделирование завершилось успешно, то на экране останется окно «Simulation Report», представленное на рис. 57.

46

Рис. 57. Результаты моделирования

Сравниваем с таблицей истинности: все верно, только имеется определенное запаздывание формирования выходного сигнала. Так оноидолжнобыть. Определим временнуюзадержку схемы.

1.7. Определение временной задержки схемы

Установить «Master Time Bar» («Главный временной маркер», далее – просто Главный маркер) на требуемый логический фронт входных сигналов, соответствующий моменту времени (рис. 58), например, на фронт набора 011, на котором формируется первая единица.

При однократном щелчке по кнопке перемещения Маркер переходит в указанном на кнопке направлении к положению следующего по времени логического фронта на выделенных диаграммах. Если ни одна диаграмма не выделена, учитываются все диаграммы, расположенные на рабочем поле.

Теперь надо установить другой маркер, он называется штриховой, на требуемый выходной сигнал – первую единицу. Там на оси z есть еще выброс – «Иголка», так он нам не нужен! Нам нужен «здоровый» выходной сигнал.

47

Рис. 58. Установкаглавногомаркеранатребуемыйнаборсигналов(011)

Щелкнуть правой кнопкой мыши по движку Главного маркера (рис. 59). В контекстном меню выбрать строку «Insert Time Bar...» («Установитьмаркер...», далее– Штриховоймаркер).

Рис. 59. Установка второго (штрихового) маркера, первый шаг

48

В появившемся окне «Insert Time Bar» (рис. 60), не меняя параметров, щелкнуть по кнопке «ОК». В месте установки Главного маркера появится Штриховой маркер (они будут наложены друг на друга) (рис. 61).

Рис. 60. Установка второго (штрихового) маркера, второй шаг

Рис. 61. Установка второго (штрихового) маркера, третий шаг

49

Однократно щелкнуть по кнопке перемещения Главного маркера вправо. Он перейдет на следующий по времени логический фронт, т.е. фронт изменения функции z. У движка Штрихового маркера, оставшегося на старом месте, появится число, обозначающее его смещение по времени относительно Главного маркера (рис. 62).

Рис. 62. Измерение временной задержки

Получаем примерно 11 ns. Это много, но не будем забывать, что все элементы реализуются на базе ПЗУ.

1.8. Задания на выполнение лабораторной работы №1

Построить схему реализации заданной функции трех переменных по вариантам. Варианты заданий соответствуют номеру по списку группы.

1)

ПФ №241

17)

ПФ №88

2)

ПФ №165

18)

ПФ №133

3)

ПФ №55

19)

ПФ №247

4)

ПФ №143

20)

ПФ №161

5)

ПФ №23

21)

ПФ №244

50